Your input is needed for the Cocotb User Survey 2020!

by Philipp Wagner on April 1, 2020

Are you a cocotb user? Then please help out by taking 10 minutes to fill out this year's cocotb user survey. This survey gives the development community important feedback to steer future of cocotb into the right direction for your use case.

cocotb is a COroutine based COsimulation TestBench environment for verifying VHDL/Verilog RTL using Python. It is a community-driven project under the stewardship of the FOSSi Foundation. Cocotb is free to download, it doesn't contain any telemetry, and doesn't require a registration before downloading. That's great for the cocotb users -- as long as the current feature set is exactly what is required. At the same time, this situation makes it hard for the cocotb development community to steer development efforts into the right direction. Which operating systems are used most? Which feature is loved most? Which bug is most annoying?

If you're using cocotb, your answers to these questions are extremely important. Please take a couple minutes to answer the questions in this year's cocotb user survey, to make sure your use of cocotb gets the attention it deserves! Thank you!

If you have questions regarding this survey please contact Philipp at philipp@fossi-foundation.org. If you have a question regarding cocotb or want to report a bug please file an issue in the cocotb issue tracker on GitHub.